VHDL est un langage de description de matériel destiné à représenter le comportement ainsi que l'architecture d’un système électronique numérique. Son nom complet est VHSIC Hardware Description Language.

Property Value
dbo:abstract
  • VHDL est un langage de description de matériel destiné à représenter le comportement ainsi que l'architecture d’un système électronique numérique. Son nom complet est VHSIC Hardware Description Language. L'intérêt d'une telle description réside dans son caractère exécutable : une spécification décrite en VHDL peut être vérifiée par simulation, avant que la conception détaillée ne soit terminée. En outre, les outils de conception assistée par ordinateur permettant de passer directement d'une description fonctionnelle en VHDL à un schéma en porte logique ont révolutionné les méthodes de conception des circuits numériques, ASIC ou FPGA. (fr)
  • VHDL est un langage de description de matériel destiné à représenter le comportement ainsi que l'architecture d’un système électronique numérique. Son nom complet est VHSIC Hardware Description Language. L'intérêt d'une telle description réside dans son caractère exécutable : une spécification décrite en VHDL peut être vérifiée par simulation, avant que la conception détaillée ne soit terminée. En outre, les outils de conception assistée par ordinateur permettant de passer directement d'une description fonctionnelle en VHDL à un schéma en porte logique ont révolutionné les méthodes de conception des circuits numériques, ASIC ou FPGA. (fr)
dbo:thumbnail
dbo:wikiPageExternalLink
dbo:wikiPageID
  • 30346 (xsd:integer)
dbo:wikiPageLength
  • 23070 (xsd:nonNegativeInteger)
dbo:wikiPageRevisionID
  • 186557573 (xsd:integer)
dbo:wikiPageWikiLink
prop-fr:align
  • left (fr)
  • left (fr)
prop-fr:contenu
  • -------------------------------------------------------------------------------- -- Liste des librairies et objets importés library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; -------------------------------------------------------------------------------- -- Déclaration de l'entité entity Clignotant is -- Paramètres passés lors de la génération generic ; -- Entrées / sorties port ; end entity Clignotant; -------------------------------------------------------------------------------- architecture RTL of Clignotant is -- Déclaration des signaux utilisés dans l'implémentation signal Compteur_s : std_logic_vector; begin -- Process synchrone "Compteur" Compteur: process begin if rising_edge then -- La suite est exécutée après chaque front montant de l'entrée CLK if then -- Reset actif à l'état haut Compteur_s <= ; LED <= '0'; else if then -- Maintenir la LED éteinte LED <= '0'; else -- Allumer la LED LED <= '1'; end if; if then -- Recommencer un cycle Compteur_s <= ; else -- Continuer le cycle Compteur_s <= Compteur_s + 1; end if; end if; end if; end process Compteur; -- Fin du process "Compteur" end architecture RTL; -- Fin du fichier (fr)
  • -------------------------------------------------------------------------------- -- Liste des librairies et objets importés library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; -------------------------------------------------------------------------------- -- Déclaration de l'entité entity Clignotant is -- Paramètres passés lors de la génération generic ; -- Entrées / sorties port ; end entity Clignotant; -------------------------------------------------------------------------------- architecture RTL of Clignotant is -- Déclaration des signaux utilisés dans l'implémentation signal Compteur_s : std_logic_vector; begin -- Process synchrone "Compteur" Compteur: process begin if rising_edge then -- La suite est exécutée après chaque front montant de l'entrée CLK if then -- Reset actif à l'état haut Compteur_s <= ; LED <= '0'; else if then -- Maintenir la LED éteinte LED <= '0'; else -- Allumer la LED LED <= '1'; end if; if then -- Recommencer un cycle Compteur_s <= ; else -- Continuer le cycle Compteur_s <= Compteur_s + 1; end if; end if; end if; end process Compteur; -- Fin du process "Compteur" end architecture RTL; -- Fin du fichier (fr)
prop-fr:titre
  • Exemple de description synthétisable (fr)
  • Exemple de description synthétisable (fr)
prop-fr:wikiPageUsesTemplate
prop-fr:wikibooks
  • Conception et VHDL (fr)
  • Conception et VHDL (fr)
prop-fr:wikiversity
  • Very High Speed Integrated Circuit Hardware Description Language (fr)
  • Very High Speed Integrated Circuit Hardware Description Language (fr)
dct:subject
rdf:type
rdfs:comment
  • VHDL est un langage de description de matériel destiné à représenter le comportement ainsi que l'architecture d’un système électronique numérique. Son nom complet est VHSIC Hardware Description Language. (fr)
  • VHDL est un langage de description de matériel destiné à représenter le comportement ainsi que l'architecture d’un système électronique numérique. Son nom complet est VHSIC Hardware Description Language. (fr)
rdfs:label
  • VHDL (fr)
  • VHDL (ca)
  • VHDL (es)
  • VHDL (eu)
  • VHDL (it)
  • VHDL (ja)
  • VHDL (pl)
  • VHDL (ru)
  • VHDL (uk)
  • VHDL (vi)
  • VHDL (zh)
  • ቪ ኤች ዲ ኤል (am)
  • VHDL (fr)
  • VHDL (ca)
  • VHDL (es)
  • VHDL (eu)
  • VHDL (it)
  • VHDL (ja)
  • VHDL (pl)
  • VHDL (ru)
  • VHDL (uk)
  • VHDL (vi)
  • VHDL (zh)
  • ቪ ኤች ዲ ኤል (am)
rdfs:seeAlso
owl:sameAs
prov:wasDerivedFrom
foaf:depiction
foaf:isPrimaryTopicOf
is dbo:basedOn of
is dbo:wikiPageRedirects of
is dbo:wikiPageWikiLink of
is oa:hasTarget of
is foaf:primaryTopic of