This HTML5 document contains 149 embedded RDF statements represented using HTML+Microdata notation.

The embedded RDF content will be recognized by any processor of HTML5 Microdata.

Namespace Prefixes

PrefixIRI
dbpedia-dahttp://da.dbpedia.org/resource/
dbpedia-elhttp://el.dbpedia.org/resource/
n15http://bn.dbpedia.org/resource/
dbpedia-nohttp://no.dbpedia.org/resource/
n34http://www.yso.fi/onto/yso/
n20https://www.zhihu.com/topic/
dbpedia-svhttp://sv.dbpedia.org/resource/
dbpedia-fihttp://fi.dbpedia.org/resource/
dbrhttp://dbpedia.org/resource/
n23http://fr.dbpedia.org/resource/Modèle:
dbpedia-mshttp://ms.dbpedia.org/resource/
n53http://my.dbpedia.org/resource/
dbpedia-arhttp://ar.dbpedia.org/resource/
dbpedia-ethttp://et.dbpedia.org/resource/
dbpedia-hehttp://he.dbpedia.org/resource/
schemahttp://schema.org/
n18http://commons.wikimedia.org/wiki/Special:FilePath/
n6http://
dbpedia-frhttp://fr.dbpedia.org/resource/
n14http://www.vhdl-online.de/tutorial/
dcthttp://purl.org/dc/terms/
dbpedia-cshttp://cs.dbpedia.org/resource/
rdfshttp://www.w3.org/2000/01/rdf-schema#
n51http://g.co/kg/m/
rdfhttp://www.w3.org/1999/02/22-rdf-syntax-ns#
n8https://lira.lanet.lv/
n58https://id.loc.gov/authorities/names/
dbpedia-euhttp://eu.dbpedia.org/resource/
n31http://fr.dbpedia.org/resource/Fichier:
xsdhhttp://www.w3.org/2001/XMLSchema#
dbpedia-ukhttp://uk.dbpedia.org/resource/
dbpedia-idhttp://id.dbpedia.org/resource/
n33http://ma-graph.org/entity/
prop-frhttp://fr.dbpedia.org/property/
dbpedia-srhttp://sr.dbpedia.org/resource/
dbohttp://dbpedia.org/ontology/
dbpedia-vihttp://vi.dbpedia.org/resource/
dbpedia-pthttp://pt.dbpedia.org/resource/
dbpedia-huhttp://hu.dbpedia.org/resource/
dbpedia-jahttp://ja.dbpedia.org/resource/
dbpedia-plhttp://pl.dbpedia.org/resource/
dbpedia-dehttp://de.dbpedia.org/resource/
n56http://mn.dbpedia.org/resource/
n17http://am.dbpedia.org/resource/
dbpedia-rohttp://ro.dbpedia.org/resource/
dbpedia-ruhttp://ru.dbpedia.org/resource/
wikidatahttp://www.wikidata.org/entity/
dbpedia-nlhttp://nl.dbpedia.org/resource/
n25https://www.quora.com/topic/
n40https://commons.wikimedia.org/wiki/Category:
dbpedia-ithttp://it.dbpedia.org/resource/
dbpedia-cahttp://ca.dbpedia.org/resource/
provhttp://www.w3.org/ns/prov#
foafhttp://xmlns.com/foaf/0.1/
wikipedia-frhttp://fr.wikipedia.org/wiki/
dbpedia-zhhttp://zh.dbpedia.org/resource/
n55http://psh.ntkcz.cz/skos/
dbpedia-kohttp://ko.dbpedia.org/resource/
dbpedia-fahttp://fa.dbpedia.org/resource/
dbpedia-trhttp://tr.dbpedia.org/resource/
dbpedia-eshttp://es.dbpedia.org/resource/
category-frhttp://fr.dbpedia.org/resource/Catégorie:
owlhttp://www.w3.org/2002/07/owl#

Statements

Subject Item
dbpedia-fr:VHDL
rdf:type
dbo:Software wikidata:Q386724 wikidata:Q315 owl:Thing wikidata:Q9143 wikidata:Q7397 dbo:ProgrammingLanguage schema:Language dbo:Language schema:CreativeWork dbo:Work
rdfs:label
VHDL VHDL VHDL ቪ ኤች ዲ ኤል VHDL VHDL VHDL VHDL VHDL VHDL VHDL VHDL
rdfs:comment
VHDL est un langage de description de matériel destiné à représenter le comportement ainsi que l'architecture d’un système électronique numérique. Son nom complet est VHSIC Hardware Description Language.
rdfs:seeAlso
n8:F?func=direct&local_base=lnc10&doc_number=000093915 n20:19611096 n25:VHSIC-Hardware-Description-Language n40:VHDL n55:PSH13744
owl:sameAs
dbpedia-vi:VHDL dbpedia-sv:VHDL dbpedia-no:VHDL n15:ভি_এইচ_ডি_এল dbpedia-pt:VHDL n17:ቪ_ኤች_ዲ_ኤል dbpedia-el:VHDL wikidata:Q209455 dbpedia-hu:VHDL dbpedia-ar:في_إتش_دي_إل dbpedia-es:VHDL dbpedia-it:VHDL dbpedia-fi:VHDL dbpedia-eu:VHDL dbpedia-ko:VHDL dbr:VHDL n33:36941000 n34:p15339 dbpedia-ca:VHDL dbpedia-he:VHDL dbpedia-zh:VHDL dbpedia-et:VHDL dbpedia-ja:VHDL dbpedia-nl:VHDL dbpedia-tr:VHDL dbpedia-id:VHDL dbpedia-da:VHDL dbpedia-ms:VHDL dbpedia-sr:VHDL n51:0bwsp dbpedia-cs:VHDL n53:ဗွီအိတ်ချ်ဒီအယ်လ် dbpedia-ru:VHDL n56:VHDL dbpedia-de:Very_High_Speed_Integrated_Circuit_Hardware_Description_Language n58:sh89002702 dbpedia-fa:وی‌اچ‌دی‌ال dbpedia-ro:VHDL dbpedia-pl:VHDL dbpedia-uk:VHDL
dbo:wikiPageID
30346
dbo:wikiPageRevisionID
186557573
dbo:wikiPageWikiLink
dbpedia-fr:Flux_standard dbpedia-fr:Notepad++ dbpedia-fr:Lattice_Semiconductor dbpedia-fr:Bit dbpedia-fr:SystemC dbpedia-fr:Booléen dbpedia-fr:Auto-complétion dbpedia-fr:Dolphin_Integration dbpedia-fr:Vim dbpedia-fr:IEEE_1076 dbpedia-fr:Altera dbpedia-fr:Pliage_de_code dbpedia-fr:Coloration_syntaxique dbpedia-fr:Norme dbpedia-fr:Ada_(langage) dbpedia-fr:Synthèse_logique dbpedia-fr:Actel dbpedia-fr:Verilog-AMS dbpedia-fr:Verilog dbpedia-fr:Aldec dbpedia-fr:Emacs n31:GVim_VHDL_FR.png dbpedia-fr:Département_de_la_Défense_des_États-Unis dbpedia-fr:VHDL-AMS dbpedia-fr:Électronique_analogique dbpedia-fr:Impédance_(électricité) dbpedia-fr:Électronique_numérique dbpedia-fr:Application-specific_integrated_circuit dbpedia-fr:Crimson_Editor dbpedia-fr:Fonction_logique category-fr:Conception_électronique dbpedia-fr:Registre_de_processeur category-fr:Langage_informatique dbpedia-fr:Langage_de_description_de_matériel dbpedia-fr:Visual_Studio_Code dbpedia-fr:Very_High_Speed_Integrated_Circuit dbpedia-fr:Mentor_Graphics dbpedia-fr:KWrite dbpedia-fr:Années_1980 dbpedia-fr:IEEE_1164 dbpedia-fr:Xilinx dbpedia-fr:Macro-définition dbpedia-fr:SciTE dbpedia-fr:Conception_assistée_par_ordinateur dbpedia-fr:C_(langage) dbpedia-fr:Gedit dbpedia-fr:Concurrence dbpedia-fr:Mot_clé dbpedia-fr:Circuit_intégré_logique dbpedia-fr:Multiplexeur dbpedia-fr:Bascule_(circuit_logique) dbpedia-fr:Boundary_scan_description_language dbpedia-fr:Smultron dbpedia-fr:Élément_logique dbpedia-fr:Circuit_logique_programmable dbpedia-fr:Simulation_informatique dbpedia-fr:Institute_of_Electrical_and_Electronics_Engineers dbpedia-fr:Simulateur_(électronique)
dbo:wikiPageExternalLink
n6:www.opencores.org n14:
dbo:wikiPageLength
23070
dct:subject
category-fr:Conception_électronique category-fr:Langage_informatique
prop-fr:wikiPageUsesTemplate
n23:Boîte_déroulante n23:Infobox_Logiciel n23:Autres_projets n23:Portail n23:Références
prov:wasDerivedFrom
wikipedia-fr:VHDL?oldid=186557573&ns=0
foaf:depiction
n18:GVim_VHDL_FR.png
prop-fr:contenu
-------------------------------------------------------------------------------- -- Liste des librairies et objets importés library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; -------------------------------------------------------------------------------- -- Déclaration de l'entité entity Clignotant is -- Paramètres passés lors de la génération generic ; -- Entrées / sorties port ; end entity Clignotant; -------------------------------------------------------------------------------- architecture RTL of Clignotant is -- Déclaration des signaux utilisés dans l'implémentation signal Compteur_s : std_logic_vector; begin -- Process synchrone "Compteur" Compteur: process begin if rising_edge then -- La suite est exécutée après chaque front montant de l'entrée CLK if then -- Reset actif à l'état haut Compteur_s <= ; LED <= '0'; else if then -- Maintenir la LED éteinte LED <= '0'; else -- Allumer la LED LED <= '1'; end if; if then -- Recommencer un cycle Compteur_s <= ; else -- Continuer le cycle Compteur_s <= Compteur_s + 1; end if; end if; end if; end process Compteur; -- Fin du process "Compteur" end architecture RTL; -- Fin du fichier
prop-fr:titre
Exemple de description synthétisable
prop-fr:align
left
prop-fr:wikiversity
Very High Speed Integrated Circuit Hardware Description Language
prop-fr:wikibooks
Conception et VHDL
dbo:thumbnail
n18:GVim_VHDL_FR.png?width=300
foaf:isPrimaryTopicOf
wikipedia-fr:VHDL
dbo:abstract
VHDL est un langage de description de matériel destiné à représenter le comportement ainsi que l'architecture d’un système électronique numérique. Son nom complet est VHSIC Hardware Description Language. L'intérêt d'une telle description réside dans son caractère exécutable : une spécification décrite en VHDL peut être vérifiée par simulation, avant que la conception détaillée ne soit terminée. En outre, les outils de conception assistée par ordinateur permettant de passer directement d'une description fonctionnelle en VHDL à un schéma en porte logique ont révolutionné les méthodes de conception des circuits numériques, ASIC ou FPGA.