This HTML5 document contains 67 embedded RDF statements represented using HTML+Microdata notation.

The embedded RDF content will be recognized by any processor of HTML5 Microdata.

Namespace Prefixes

PrefixIRI
dbpedia-dehttp://de.dbpedia.org/resource/
dcthttp://purl.org/dc/terms/
n15https://ieeexplore.ieee.org/document/8299595%7Cdoi=10.1109/
dbohttp://dbpedia.org/ontology/
foafhttp://xmlns.com/foaf/0.1/
n23http://g.co/kg/m/
schemahttp://schema.org/
dbpedia-ruhttp://ru.dbpedia.org/resource/
dbpedia-ukhttp://uk.dbpedia.org/resource/
rdfshttp://www.w3.org/2000/01/rdf-schema#
category-frhttp://fr.dbpedia.org/resource/Catégorie:
n12https://www.quora.com/topic/
dbpedia-plhttp://pl.dbpedia.org/resource/
n10http://fr.dbpedia.org/resource/Modèle:
wikipedia-frhttp://fr.wikipedia.org/wiki/
rdfhttp://www.w3.org/1999/02/22-rdf-syntax-ns#
n26http://www.asic-world.com/systemverilog/
owlhttp://www.w3.org/2002/07/owl#
n29http://ma-graph.org/entity/
n25https://
n22https://www.chipverify.com/systemverilog/
dbpedia-zhhttp://zh.dbpedia.org/resource/
n21https://id.loc.gov/authorities/names/
dbpedia-frhttp://fr.dbpedia.org/resource/
provhttp://www.w3.org/ns/prov#
prop-frhttp://fr.dbpedia.org/property/
xsdhhttp://www.w3.org/2001/XMLSchema#
dbrhttp://dbpedia.org/resource/
wikidatahttp://www.wikidata.org/entity/
dbpedia-jahttp://ja.dbpedia.org/resource/

Statements

Subject Item
dbpedia-fr:SystemVerilog
rdf:type
wikidata:Q315 wikidata:Q9143 dbo:ProgrammingLanguage schema:Language owl:Thing dbo:Language
rdfs:label
SystemVerilog SystemVerilog SystemVerilog
rdfs:comment
SystemVerilog est à la fois un un langage de description, se basant pour cela sur Verilog et un langage de vérification de matériel, permettant de faire de la simulation et vérification. Il est standardisé sous le numéro IEEE 1800 par l'Institute of Electrical and Electronics Engineers (IEEE).
rdfs:seeAlso
n12:SystemVerilog
owl:sameAs
dbpedia-zh:SystemVerilog dbpedia-ru:SystemVerilog dbpedia-ja:SystemVerilog dbr:SystemVerilog wikidata:Q1387402 dbpedia-pl:SystemVerilog n21:sh2012002819 n23:07lwvb dbpedia-de:SystemVerilog dbpedia-uk:SystemVerilog n29:2778681875
dbo:wikiPageID
5660704
dbo:wikiPageRevisionID
189469090
dbo:wikiPageWikiLink
dbpedia-fr:Institute_of_Electrical_and_Electronics_Engineers dbpedia-fr:Langage_de_description_de_matériel category-fr:Conception_électronique dbpedia-fr:Verilator category-fr:Langage_informatique dbpedia-fr:Verilog category-fr:Langage_de_vérification_de_matériel dbpedia-fr:Langage_de_vérification_de_matériel
dbo:wikiPageExternalLink
n15:IEEESTD.2018.8299595%7C n22:systemverilog-tutorial%7C n25:accellera.mantishub.io n26:tutorial.html%7C
dbo:wikiPageLength
2722
dct:subject
category-fr:Conception_électronique category-fr:Langage_informatique category-fr:Langage_de_vérification_de_matériel
prop-fr:wikiPageUsesTemplate
n10:Ébauche n10:Références n10:Portail n10:Palette n10:Sfn n10:Ouvrage n10:Infobox n10:Lien_web n10:, n10:Refsou
prov:wasDerivedFrom
wikipedia-fr:SystemVerilog?oldid=189469090&ns=0
prop-fr:année
2018
prop-fr:id
IEEE1800-2017
prop-fr:jour
22
prop-fr:langue
en
prop-fr:mois
février
prop-fr:présentationEnLigne
https://ieeexplore.ieee.org/document/8299595|doi=10.1109/IEEESTD.2018.8299595| isbn=978-1-5044-4509-2
prop-fr:site
Chipverify ASIC World
prop-fr:titre
1800
prop-fr:url
http://www.asic-world.com/systemverilog/tutorial.html| titre=SystemVerilog Tutorial https://www.chipverify.com/systemverilog/systemverilog-tutorial| titre=SystemVerilog Tutorial
prop-fr:éditeur
IEEE
prop-fr:enLigneLe
2014-02-09
foaf:isPrimaryTopicOf
wikipedia-fr:SystemVerilog
dbo:abstract
SystemVerilog est à la fois un un langage de description, se basant pour cela sur Verilog et un langage de vérification de matériel, permettant de faire de la simulation et vérification. Il est standardisé sous le numéro IEEE 1800 par l'Institute of Electrical and Electronics Engineers (IEEE).
dbo:designer
dbpedia-fr:Institute_of_Electrical_and_Electronics_Engineers