About: dbpedia-fr:Virgule_fixe     Goto   Sponge   NotDistinct   Permalink

An Entity of Type : owl:Thing, within Data Space : fr.dbpedia.org associated with source document(s)

AttributesValues
rdfs:label
  • Coma fixa (ca)
  • Festkommazahl (de)
  • Kod stałopozycyjny (pl)
  • Virgule fixe (fr)
  • Число с фиксированной запятой (ru)
  • 固定小数点数 (ja)
  • 定點數運算 (zh)
rdfs:comment
  • En informatique, une représentation d'un nombre en virgule fixe est un type de donnée correspondant à un nombre qui possède (en base deux ou en base dix) un nombre fixe de chiffres après la virgule. Les nombres en virgule fixe sont utiles pour représenter des quantités fractionnaires dans un format utilisant le complément à deux quand le processeur de l'ordinateur n'a aucune unité de calcul en virgule flottante ou quand une virgule fixe permet d'augmenter la vitesse d'exécution ou d'améliorer l'exactitude des calculs. La plupart des processeurs à faible coût (ex. : microcontrôleurs) ne disposent pas d'unité de calcul en virgule flottante. (fr)
rdfs:seeAlso
sameAs
Wikipage page ID
Wikipage revision ID
dbo:wikiPageWikiLink
page length (characters) of wiki page
dct:subject
prop-fr:wikiPageUsesTemplate
prov:wasDerivedFrom
foaf:isPrimaryTopicOf
has abstract
  • En informatique, une représentation d'un nombre en virgule fixe est un type de donnée correspondant à un nombre qui possède (en base deux ou en base dix) un nombre fixe de chiffres après la virgule. Les nombres en virgule fixe sont utiles pour représenter des quantités fractionnaires dans un format utilisant le complément à deux quand le processeur de l'ordinateur n'a aucune unité de calcul en virgule flottante ou quand une virgule fixe permet d'augmenter la vitesse d'exécution ou d'améliorer l'exactitude des calculs. La plupart des processeurs à faible coût (ex. : microcontrôleurs) ne disposent pas d'unité de calcul en virgule flottante. Les bits à gauche de la virgule représentent la partie entière du nombre (au sens premier du terme), c'est-à-dire l'entier se trouvant à gauche de la virgule. Chaque bit à droite de la virgule, ou « décimale binaire », correspond à l'inverse d'une puissance de 2. Ainsi la première décimale binaire est ½, la seconde est ¼, la troisième est 1/8 et ainsi de suite. Pour un nombre en virgule fixe dans un format de complément à deux, la borne maximale est et la borne minimale est où e correspond au nombre de bits de la partie entière signée et f au nombre de bits de la partie fractionnaire. (en) Cet article est partiellement ou en totalité issu de l’article de Wikipédia en anglais intitulé « Fixed-point arithmetic » (voir la liste des auteurs). (fr)
is dbo:wikiPageWikiLink of
is Wikipage disambiguates of
is oa:hasTarget of
is foaf:primaryTopic of
Faceted Search & Find service v1.16.111 as of Oct 19 2022


Alternative Linked Data Documents: ODE     Content Formats:   [cxml] [csv]     RDF   [text] [turtle] [ld+json] [rdf+json] [rdf+xml]     ODATA   [atom+xml] [odata+json]     Microdata   [microdata+json] [html]    About   
This material is Open Knowledge   W3C Semantic Web Technology [RDF Data] Valid XHTML + RDFa
OpenLink Virtuoso version 07.20.3234 as of May 18 2022, on Linux (x86_64-ubuntu_bionic-linux-gnu), Single-Server Edition (39 GB total memory, 14 GB memory in use)
Data on this page belongs to its respective rights holders.
Virtuoso Faceted Browser Copyright © 2009-2024 OpenLink Software